主要内容

高密度脂蛋白Cosimulation

高密度脂蛋白Cosimulation与MATLAB万博1manbetx

高密度脂蛋白验证者™软件由MATLAB®函数、MATLAB系统对象™和仿真软件的图书馆万博1manbetx®块,所有这些高密度脂蛋白模拟器之间建立通信链路和MATLAB仿真软件。万博1manbetx

高密度脂蛋白校验软件简化FPGA和ASIC开发通过集成工具可用于以下流程:

  1. 为硬件设计开发规范参考模型

  2. 在HDL实现硬件设计基于参考模型

  3. 验证设计参考设计

下图显示了HDL模拟器和MathWorks®s manbetx 845产品适合这个硬件设计场景。

图中显示,高密度脂蛋白校验软件连接工具,传统上一直使用离散在设计过程中执行特定的步骤。通过连接这些工具,简化了验证通过允许您链接到cosimulate直接实现和原始规范。这cosimulation导致节省时间和消除固有的手工比较和检查错误。

除了前面设计的场景中,高密度脂蛋白校验软件使您能够使用工具在以下方式:

  • 使用MATLAB仿真软件来创万博1manbetx建测试信号和软件测试HDL代码的长椅

  • 使用MATLAB仿真软件提供万博1manbetx一个高密度脂蛋白模拟行为模型

  • 使用MATLAB分析和可视化功能实时洞察一个HDL实现

  • 使用仿真万博1manbetx软件遗留HDL描述转化为系统级视图

请注意

您可以使用SystemVerilog cosimulate模块,SystemC或者与MATLAB仿真软件使用HDL校验软件。万博1manbetx写简单的包装SystemC和确保SystemVerilog cosimulation连接港口或信号的数据类型支持的链接cosimulation接口。万博1manbetx

可以找到更多讨论cosimulation如何工作在以下部分:

连接与MATLAB和高密度脂蛋白模拟器

当与MATLAB,高密度脂蛋白模拟器作为客户端,如下列图所示。

在这个场景中,一个MATLAB服务器函数等待服务请求接收到从一个高密度脂蛋白模拟器会话。收到请求后,服务器建立通信链路,并调用指定的MATLAB函数,计算数据,验证或可视化HDL模块(硬件描述语言(VHDL)的编码®或Verilog®在高密度脂蛋白模拟器模拟)。

服务器正在运行之后,您可以启动和配置模拟器或使用与MATLAB提供的高密度脂蛋白HDL验证器功能:

  • nclaunch(Xcelium™)

  • vsim(ModelSim®)

下面的图显示了一个MATLAB试验台功能包装,与高密度脂蛋白模拟器在试验台模拟通信会话。

下图显示了如何缠绕在MATLAB组件功能和与高密度脂蛋白模拟器通信组件模拟会话。

当你开始一个特定的测试台上或组件会话,您指定参数,确定以下信息:

  • 模式和,如果适用,TCP / IP连接到一个MATLAB数据服务器

  • MATLAB函数并执行有关代表HDL实例

  • 时指定的时间规范和其它控制数据模块的调用MATLAB函数

连接与万博1manbetx和高密度脂蛋白模拟器

当与仿真软件,高密度脂蛋白模拟器功万博1manbetx能服务器,如下图所示。

在这种情况下,高密度脂蛋白模拟器响应模拟请求接收从cosimulation块仿真软件模型。万博1manbetx你开始从仿真软件cosimulation会话。万博1manbetx会议开始后,您可以使用仿真软件和高密度脂蛋白模拟器监控模拟进程和结果。万博1manbetx例如,您可以添加一个高密度脂蛋白模拟器波信号窗口监控仿真时序图。

使用的块参数对话框高密度脂蛋白Cosimulation块,您可以配置如下:

  • 块的输入和输出端口对应的信号(包括内部信号)高密度脂蛋白模块。您可以指定样本时间和定点数据类型为个人块输出端口(如果需要的话)。

  • 类型的交流和通讯设置用于仿真工具之间交换数据。

  • 上升沿或下降沿时钟适用于您的模块。你可以单独指定每个时钟的周期。

  • Tcl命令之前和之后运行的模拟。

高密度脂蛋白校验软件装备HDL模拟器的定制功能。ModelSim,当您使用函数v万博1manbetxsimulink,你执行HDL模拟器与高密度脂蛋白的实例模块cosimulation仿真软件。万博1manbetx加载模块之后,您可以启动cosimulation会话从仿真软件。万博1manbetxXcelium功能用户可以执行相同的操作hdl万博1manbetxsimulink

高密度脂蛋白校验软件还包括一个块生成值改变转储(VCD)文件。您可以使用VCD采用这一块生成文件执行以下任务:

  • 视图HDL万博1manbetx仿真环境中仿真软件仿真波形

  • 比较多个模拟运行的结果,使用相同或不同的仿真环境

  • 使用作为输入post-simulation分析工具

高密度脂蛋白Cosimulation向导

高密度脂蛋白验证器包含Cosimulation向导功能,使用现有的HDL代码来创建一个定制的MATLAB函数(试验台或组件),MATLAB系统对象,或仿真软件万博1manbetx高密度脂蛋白Cosimulation块。有关更多信息,请参见准备进口Cosimulation HDL代码

沟通HDL Cosimulation

沟通的模式,你使用HDL模拟器之间的联系和MATLAB仿真软件取决于您的应用程序运行在一个地方,单一系统配置或网络配置。万博1manbetx如果这些产品和Mas manbetx 845thWorks产品可以在本地运行在同一个系统上,您的应用程序只需要一个通信通道,您可以选择选择共享内存和TCP / IP套接字通信。共享内存通信提供最佳性能和沟通的默认模式。

TCP / IP套接字模式更多功能。你可以用它来单系统和网络配置。此选项提供了最大的可伸缩性。更多关于TCP / IP套接字通信,明白了TCP / IP套接字端口

硬件描述语言(HDL)的支持万博1manbetx

所有MATLAB函数和高密度脂蛋白HDL校验Cosimulation块提供相同的language-transparent特性集Verilog和硬件描述语言(VHDL)模型。

混合语言高密度脂蛋白HDL校验软件还支持模型(模型Ve万博1manbetxrilog和硬件描述语言(VHDL)组件),允许您cosimulate同时硬件描述语言(VHDL)和Verilog信号。MATLAB和Simulink仿万博1manbetx真软件可以访问组件在各级不同的语言。

高密度脂蛋白Cosimulation工作流

高密度脂蛋白校验用户指南提供使用说明书验证与支持高密度脂蛋白模拟器软件工作流程如下:万博1manbetx

  • 模拟一个高密度脂蛋白组件在MATLAB环境试验台

  • 用MATLAB组件替换一个高密度脂蛋白组件功能

  • 模拟一个高密度脂蛋白组件在一个模型试验台的环境万博1manbetx

  • 替换一个高密度脂蛋白组件模型算法万博1manbetx

  • 记录仿真软件信号后处万博1manbetx理状态转换

产品功能和平台的支持万博1manbetx

产品特性 所需的产品s manbetx 845 推荐产品s manbetx 845 万博1manbetx支持的平台上
MATLAB和HDL模拟器cosimulation(函数) MATLAB 定点设计师™,信号处理工具箱™ 窗户®32位和64位;Linux®64位
MATLAB和HDL模拟器cosimulation(系统对象) MATLAB和定点设计师 通信工具箱™、DSP系统工具箱™ Windows 32位和64位;Linux 64位
万博1manbetx仿真软件和HDL模拟器cosimulation 万博1manbetx动态仿真模块、定点设计师 信号处理工具箱,DSP系统工具箱 Windows 32位和64位;Linux 64位