主要内容

在Simulink中生成参数化UVM测试台架万博1manbetx

这个例子展示了如何在Simulink®中开发设计和测试台架,并为通用验证方法(UVM)环境使用生成等效的模拟万博1manbetxuvmbuild.相关示例展示了如何扩展这个测试台架,以使用特定于协议的驱动程序、受约束的随机序列和参数化记分板来优化您的验证。

简介

本示例引导您了解一个HDL实现的自顶向下设计开发过程。在这样的工作流程中,您在Simulink中设计一个行为算法,并使用周围的块对其进行测试,以生成刺激并检查结果。万博1manbetx一旦模拟确认设计满足其要求,您就可以向下游HDL实现团队交付所需的任何附属品。您需要重新验证HDL实现是否满足Simulink中模拟的要求,以及设计的任何其他独特方面,如没有在Simulink中建模的协议接口。万博1manbetx

通常情况下,交接过程可能是冗长乏味的,而且会产生许多错误。HDL实现和HDL设计验证(DV)工程师必须:

  • 将书面规范翻译为HDL和测试环境。

  • 了解Simulink仿真环境的运行时行为,例如如何创建、处理和检查刺激。万博1manbetx

  • 将运行时行为转换为SystemVerilog实现。

  • 将刺激、设计和响应检查集成到一个可运行的SystemVerilog中,以确认转换的行为表现与原始的Simulink模拟相同。万博1manbetx

  • 将这些主要的SystemVerilog组件集成到UVM上下文中,以便使用dv编写的验证扩展Simulink测试。万博1manbetx这种扩展测试可能包括随机测试、SystemVerilog断言、功能覆盖和代码覆盖。

使用HDL Verifier™UVM生成功能,这个移交过程是自动化的。DV工程师得到一个经过验证的UVM测试环境,该环境与在Simulink中执行的测试相匹配,并且可以轻松地更新该环境以满足他们的下游验证需求。万博1manbetx

在Simulink中进行设计和测试万博1manbetx

编写您的算法并向其添加一个测试工作台。该模型由测试台架的典型子系统组成,如刺激产生、被测设计(DUT)和响应检查。

在本设计中,源子系统创建一个包含64个样本信息的随机脉冲,嵌入在5000个样本噪声帧中的随机位置。它还生成一组64个最优匹配的滤波器系数来检测脉冲。输入同时提供给设计和响应检查器。响应检查器验证脉冲在噪声波形中的正确位置被检测到。通过控制台输出确认操作正确。如果检测到的信号的预期功率不在一定的范围内,则触发断言。

模拟该模型证实,在五个产生的脉冲中,有五个被检测到。三面板图显示了Tx信号(原始脉冲),Rx信号(嵌入噪声中的脉冲),以及显示峰值检测位置的参考实现的滤波输出。输出信号延迟一帧。

[FrameNum= 0] Peak location=2163.000000, mag-squared=0.280 using global max [FrameNum= 0] Peak detected from impl=0.280, error(abs)=0.000 error(pct)=0.017 [FrameNum= 1] Peak location=2163.000000, mag-squared=0.200 using global max [FrameNum= 1] Peak detected from impl=2163 error(abs)=0 [FrameNum= 1] Peak mag-squared from impl=0.199, error(abs)=0.000 error(pct)=0.190 [FrameNum= 2] Peak location=2163.000000,[FrameNum= 2] Peak detected from impl=0.223, error(abs)=0.000 error(pct)=0.183 [FrameNum= 3] Peak location=2163.000000, mage -squared=0.200 using global max [FrameNum= 3] Peak detected from impl=2163 error(abs)=0 [FrameNum= 3] Peak mag-squared from impl=0.200, error(abs)=0.000 error(pct)=0.043 [FrameNum= 4] Peak location=2163.000000,[FrameNum= 4] Peak detected from impl=0.255, error(abs)=0.000 error(pct)=0.031 [FrameNum= 5] Peak location=2163.000000, mage -squared=0.241 using global max [FrameNum= 5] Peak detected from impl=2163 error(abs)=0 [FrameNum= 5] Peak mag-squared from impl=0.241, error(abs)=0.000 error(pct)=0.187 [FrameNum= 6] Peak location=2163.000000,[FrameNum= 6] Peak detected from impl=0.241, error(abs)=0.000 error(pct)=0.019 [FrameNum= 7] Peak location=2163.000000, mage -squared=0.225 using global max [FrameNum= 7] Peak detected from impl=2163 error(abs)=0 [FrameNum= 7] Peak mag-squared from impl=0.225, error(abs)=0.000 error(pct)=0.032 [FrameNum= 8] Peak location=2163.000000,[FrameNum= 8] Peak detected from impl=0.239, error(abs)=0.000 error(pct)=0.037 [FrameNum= 9] Peak location=2163.000000, mage -squared=0.225 using global max [FrameNum= 9] Peak detected from impl=2163 error(abs)=0 [FrameNum= 9] Peak mag-squared from impl=0.225, error(abs)=0.000 error(pct)=0.146 [FrameNum= 10] Peak location=2163.000000,[FrameNum= 10]峰值检测从impl=2163错误(abs)=0 [FrameNum= 10]峰值magg -squared from impl=0.207,错误(abs)=0.000错误(pct)=0.134

生成一个可执行的UVM测试台架

使用uvmbuild函数将您的设计导出到UVM环境。UVM测试台架为HDL验证过程提供结构,并允许实现验证团队重用所有Simulink测试台架组件和测试用例。万博1manbetx标准组件定义根据环境在模拟中的作用将它们分开。对于这个例子:

  • PulseDetector映射到DUT SystemVerilog模块

  • GenPulse子系统映射到sequence_item为Sequencer UVM组件创建

  • CheckDetection子系统映射到记分板UVM组件。

生成的UVM测试台架如下所示:

生成一个UVM测试台设计=“prm_uvmtb / PulseDetector”序列=“prm_uvmtb / GenPulse”记分板=“prm_uvmtb / CheckDetection”Uvmbuild(设计、序列、记分板)

UVM测试台架的每个突出显示部分都是通过包装从Simulink子系统生成的c代码并使用DPI调用其入口点来实现的。万博1manbetx下图显示了PulseDetector子系统的两个函数声明。

SystemVerilog/UVM代码决定DPI调用的时间。例如,在PulseDetector SystemVerilog模块中:

  • “初始化”DPI调用由“初始化”代码块触发。

  • “terminate”DPI调用由“final”代码块触发。

  • “reset”DPI调用由激活的复位信号触发。

  • “输出”和“更新”DPI调用由上升的时钟边缘触发,其中重置不激活,时钟使能激活。

运行UVM测试台

uvmbuild进程还生成一个脚本来运行UVM测试的模拟。为以下模拟器生成脚本:

  • Mentor Graphics®Modelsim®和Questa®:run_tb_mq.do

  • Cadence®Xcelium™:run_tb_xcelium.sh

  • Synopsys®VCS®:run_tb_vcs.sh

下面显示为ModelSim生成的脚本。

执行生成的脚本,以验证UVM执行与Simulink执行是否匹配。万博1manbetx因为序列是用信噪比输入端口参数化的,所以它在UVM中的默认值是0.0。为了正确地比较模拟运行,我们需要将其默认值更改为2.0(比特值为0b10_000000),以匹配Simulink;万博1manbetx这可以通过一个plusarg来完成,我们通过一个环境变量将它传递给脚本。

清除影响UVM模拟的环境变量'setenvEXTRA_UVM_SIM_ARGSsetenvEXTRA_UVM_COMP_ARGSsetenvUVM_TOP_MODULE
使用信噪比2.0模拟UVM测试台cduvm_build prm_uvmtb_uvm_testbench /setenvEXTRA_UVM_SIM_ARGS+ SNR_default_inp_val = 10000000!Vsim -do run_tb_mq。do % ModelSim/QuestaSim (gui)!Vsim -c -do run_tb_mqdo % ModelSim/QuestaSim(控制台)!./run_tb_xcelium.sh % Xcelium(控制台)!./run_tb_vcs.sh % VCS (console)cd. . / . . / . .

模拟日志显示了相同的诊断消息:

波形显示了DUT接口信号的时序。光标放置在帧边界上,显示匹配滤波器系数的瞬时更新。