通用端口长度与仿真软件模型集成现有的HDL代码时使用黑箱万博1manbetx

19日视图(30天)
当我与仿真软件集成现有的HDL代码模型(黑盒),我怎样才能创建一个组件声明泛型港万博1manbetx口长度?
例如:
组件my_component
通用(GENERIC_OUTPUT_LENGTH:自然:= 8)
端口(
A、B: STD_LOGIC;
F: STD_LOGIC_VECTOR (GENERIC_OUTPUT_LENGTH - 1报纸0));
结束组件;
当我使用HDL编码器生成VHDL代码,( GENERIC_OUTPUT_LENGTH - 1) 被替换为特定的输出端口的长度。然而bacuse我使用两个不同的实例化(港口不同长度)相同的块,生成的代码需要一些修正,才能合成。

接受的答案

Kiran Kintali
Kiran Kintali 2021年5月1日
问题是复制和报告给开发团队。
高密度脂蛋白编码器目前支持泛型价值(通用万博1manbetx值,可以具体和用作增益和常量值)。高密度脂蛋白编码器不支持泛型用于自定义万博1manbetx输入和输出类型的硬件描述语言(VHDL)的实体或Verilog模块。
这种限制属于黑箱 GenericList参数 和面具参数作为泛型功能在HDL代码生成。
我们希望提高产品和解决这个limtiation HDL编码器在即将到来的版本。
2的评论
Uday Kumar滴
Uday Kumar滴 2021年8月23日
你好拉斯,
在这个领域我们积极工作;到目前为止,使用泛型指定端口长度仅支持RAM实例化。万博1manbetx我们想联系你的可变性。你能接触到当地的支持团队在MathWorks和联系我们万博1manbetx的开发团队下一步呢?
谢谢

登录置评。

更多的答案(3)

Kiran Kintali
Kiran Kintali 2020年1月18日
你在HDLCoder GenericList参数使用吗?
谢谢
bbox_interface.png
GenericList
通过细胞数组变量,其中包含细胞数组每两个或三个字符串,或输入一个细胞细胞数组,每个数组包含两个或三个字符串。字符串代表名称、价值和可选硬件描述语言(VHDL)的数据类型 通用的 或Verilog 参数 。默认数据类型 整数
默认值:无
指定硬件描述语言(VHDL)的列表 通用的 或Verilog 参数 名称-值对,每个都有一个可选的数据类型规范,通过一个子系统 黑箱 实现。
例如,在高密度脂蛋白块属性对话框中,输入 {' 的名字 ”、“ 价值 ”、“ 类型 '} ,或者,如果数据类型 整数 ,输入 {' 的名字 ”、“ 价值 '}
设置 GenericList 使用 hdlset_param 在命令行输入:
hdlset_param ( blockname ,“GenericList”、“{” 的名字 ”、“ 价值 ”、“ 类型 “}”);
如果数据类型 整数 在命令行输入:
hdlset_param ( blockname ,“GenericList”、“{” 的名字 ”、“ 价值 “}”);


Kiran Kintali
Kiran Kintali 2020年1月20日
你能共享一个模型来描述你usecase吗?也请将生成的代码和预期的代码与黑箱设置中使用的模型。
1评论
Erdinc Atilgan
Erdinc Atilgan 2021年5月1日
事实上,这个问题已经很明显。 尽管如此,我认为你的事情是困难的。 你没有做出任何努力创建问题提到,亲爱的Kiran。 evyatar提到的问题实际上是一个严重的问题。 然而,作为员工,你不懂的问题,我们将会等待一个解决方案。 我遇到了同样的问题,像evyatar,我写了一个代码,修复代码由高密度脂蛋白编码器。 可能是一个更合理的解决方案为我们的客户,亲爱的Kiran,直接将此事移交给人更好的理解主题?

登录置评。


翻译的