主要内容

软硬件协同设计基础

了解软硬件协同设计工作流程,以及如何使用workflow Advisor在FPGA板上运行算法

您可以使用硬件-软件协同设计工作流将设计划分为在硬件和软件上运行的部分。由于FPGA板没有嵌入式处理器,因此工作流程不需要针对设计的软件部分。对于独立FPGA板,使用HDL Workflow Advisor从硬件上运行的设计部分生成HDL IP核心。

话题

Xilinx FPGA板的IP核生成工作流

了解如何在独立FPGA设备上使用IP核心生成工作流,并将IP核心嵌入参考设计中。

HDL工作流顾问入门

学习HDL Workflow Advisor的基础知识以及如何运行各种任务。

使用脚本运行HDL工作流

导出、导入或配置HDL工作流CLI命令脚本。

特色实例