主要内容

QAM收发器模型的建筑HDL测试工作台

此示例显示了如何使用SystemVerilog DPI-C组件生成构建行为测试工作台。该测试台用于验证64-QAM发射器和接收器的合成HDL代码。

此示例需要这些其他工具。*支持的HDL模拟器之一:万博1manbetxCadence®Xcelium™或MentorGraphics®ModelsIm®/QuestAsim® * Windows for Windows的Microsoft®VisualStudio™或Linux®GCC

概述

QAM接收器模型的顶级结构如下图所示。QAM TX HDL和QAM RX HDL子系统已针对HDL代码生成优化。使用HDL编码器,我们可以从这两个子系统生成HDL代码。此示例使用生成的HDL代码发货,因此您不必为此练习生成代码。

为代码生成设置模型

为了在HDL中构建完整的行为测试台,我们将需要通道子系统和错误子系统的行为模型。使用这些模型,我们可以为这两个子系统生成SystemVerilog DPI-C组件。在生成DPI-C组件之前,我们需要首先设置代码生成选项。

从SVDP万博1manbetxI_QAM模型打开Simulink模型配置参数面板。设置以下参数:

选择代码生成 - >系统目标文件。单击“浏览”按钮,然后选择“ SystemVerilog_dpi_grt.tlc”。

如果您已经嵌入了编码器,则可以选择“ SystemVerilog_dpi_ert”目标文件。

在工具链设置中,如果您使用的是Windows,则选择一个Visual Studio版本,或者使用Linux(如果使用Linux)。

接下来,通过在MATLAB中执行以下两个命令来导出DPI-C组件:

>> slbuild('svdpi_qam/channel')

>> slbuild('svdpi_qam/errorrate')

运行生成的测试台

对于Mentor Graphics Modelim/QuestAsim,

  1. 在GUI模式下启动ModelsIm/QuestAsim。

  2. 将当前目录更改为当前的MATLAB目录

  3. 输入以下命令以启动您的模拟:

qam_dpic_tb_mq.do

对于Cadence Xcelium:

  • 启动您的终端外壳。

  • 将当前目录更改为当前的MATLAB目录。

  • 在外壳中输入以下命令。

shqam_dpic_tb_xcelium.sh

在模拟结束时,错误率打印如下:

************* Simulation Summary ********************

位错误率:0.001356

错误数:4.000000

收到的位数:2950.000000

***************************************************************