如何使用matlab传输通过UART FPGA拍照吗

7视图(30天)
嘿伙计们
最近我用matlab将图片转换成二进制格式和写入XXX.txt。现在我困惑,如何通过UART传输二进制格式数据到FPGA吗?我打算使用UART传输数据。我知道有必要编写一个通过UART HDL模块获取数据。另一个问题是电脑的设置步骤。我需要额外的工具除了Matlab完成工作吗?
我可以将图片转换成二进制格式,现在写进txt。谢谢

接受的答案

沃尔特·罗伯森
沃尔特·罗伯森 2016年4月9日
连续()COM端口。配置其设置。fopen()串行对象。使用写入文件()和从文件中读()交换数据。
困难之一是,UART通常指TTL的水平,但通常RS232 / RS422串口对象,否则他们在USB虚拟串行端口。你可以得到TTL连接器的USB端口。
4评论
沃尔特·罗伯森
沃尔特·罗伯森 2016年4月15日
32768年绝对是字节。个人数组元素的数量将被发送,每一个都是uint8。
当你说你测试的txt文件16位数据,你的意思是你创建. txt文件2字节的准确时间吗?测试,显示了作为长度(txdata) ?

登录置评。

更多的答案(0)

标签

社区寻宝

找到宝藏在MATLAB中央,发现社区如何帮助你!

开始狩猎!