主要内容

验证Sobel边缘检测算法在MATLAB-to-HDL工作流

这个例子显示了高密度脂蛋白的生成和验证的MATLAB®设计Sobel边缘检测算法使用MATLAB HDL编码器™工作流顾问。MATLAB试验台重用来验证使用自动生成的高密度脂蛋白HDL cosimulation从高密度脂蛋白验证人™系统对象。可以使用万博1manbetx任何受支持的HDL模拟器,包括导师图形ModelSim®/,®,节奏门齿®/ Xcelium™,或Xilinx®Vivado®模拟器。

模拟设计

这是一个很好的练习来模拟设计与代码生成前testbench确保没有运行时错误。

mlhdlc_sobel_tb;

创建一个新的HDL编码项目

运行以下命令创建HDL代码生成项目。

编码器-hdlcoder不管是新cosim_fil_sobel

该操作将会打开一个窗口标题高密度脂蛋白编码器

指定设计和试验台

  1. 拖拽文件mlhdlc_sobel.m从当前浏览器的入口点选项卡文件夹HDL编码窗口,下MATLAB函数部分。

  2. 拖拽文件mlhdlc_sobel_tb.m到HDL编码窗口下MATLAB试验台部分。

  3. mlhdlc_sobel_tb.m文件,指定输入参数的数据类型data_in作为双(1 * 1)

生成HDL代码

  1. 点击工作流的顾问

  2. 右键单击代码生成一步工作流程顾问。

  3. 选择选项选择任务运行运行所有步骤从工作流的开始到HDL代码生成。

验证与Cosimulation HDL代码生成

要运行此步骤,您必须有一个高密度脂蛋白HDL模拟器支持验证者。万博1manbetx看到Cosimulation需求(高密度脂蛋白校验)。你可以跳过这一步如果你没有访问支持模拟器。万博1manbetx

  1. 选择生成cosimulation试验台选择。

  2. 选择日志输出比较的阴谋选择。该选项生成的策划HDL模拟器输出,输出参考MATLAB算法,以及它们之间的差异。

  3. Cosimulate使用:选择您的HDL模拟器。高密度脂蛋白模拟器必须在您的系统上执行路径。

  4. 查看HDL的波形模拟器,选择GUI模式的高密度脂蛋白cosimulation模拟器运行模式列表。Vivado模拟器没有交互式GUI环境。cosimulation HDL设计,调试后,打开生成的文件hdlverifier_cosim_waves.wdb在Vivado。

  5. 选择模拟生成cosimulation试验台

  6. 点击运行

仿真完成后,检查在MATLAB情节进行比较。应该没有不匹配HDL模拟器输出和参考MATLAB算法的输出。

验证与FPGA-in-the-Loop HDL代码生成

要运行此步骤,您必须有一个支持的FPGA板。万博1manbetx看到FPGA验证需求(高密度脂蛋白校验)建立了FPGA设计软件工具(高密度脂蛋白校验)

验证与FPGA-in-the-Loop一步,执行以下步骤:

  1. 选择生成FPGA-in-the-Loop试验台选择。

  2. 选择日志输出比较的阴谋选择。该选项生成的策划FPGA输出,输出参考MATLAB算法,以及它们之间的差异。

  3. 选择您的FPGA的董事会董事会的名字列表。如果你的董事会是不在名单上,点击发射板经理创建一个新的条目。董事会经理允许添加新的董事会在许多方面包括下载插件支持包,克隆从现有的董事会,或从头开始创建一个。万博1manbetx看到FPGA板经理(高密度脂蛋白校验)

  4. 董事会使用以太网连接输入连接信息董事会的IP地址董事会MAC地址字段。

  5. 离开附加文件字段是空的。

  6. 选择模拟生成FPGA-in-the-Loop试验台

  7. 点击运行

仿真完成后,检查比较情节。应该没有不匹配FPGA输出和参考MATLAB算法的输出。