主要内容

并行金序列生成

这个示例展示了如何使用LTE Gold Sequence Generator块并行生成多个序列以用于信道估计。

示例模型使用表示的向量初始化LTE Gold Sequence Generator块初始化四个通道中的每一个的值。该块返回四个独立的Gold序列。

您可以从HDL Gold Sequence Generator子系统生成HDL。

LTE Gold Sequence Generator块没有块参数。它被配置为与LTE标准TS 36.212所需的多项式和移位长度相匹配。上的31位值初始化序列初始化端口,并将该值加载到块中负载信号1为一个周期。这个模型有四个初始化值,表示四个通道。

启用信号生成金序列值。输出是一个包含四个值的向量。输出有效的信号表示输出数据何时可用。

您可以在信号上添加数据记录,并使用逻辑分析仪查看波形。

要生成和检查本例中引用的HDL代码,您必须拥有HDL Coder™许可证。

要生成HDL代码,使用以下命令:

makehdl ('ltehdlGoldVectorModel/HDL金序列生成器'

要生成一个测试平台,使用以下命令:

makehdltb ('ltehdlGoldVectorModel/HDL金序列生成器'

另请参阅