主要内容

FPGA-in-the-Loop

在实际硬件中测试设计

在模拟器和板之间创建一个fpga在环链接,使您能够:

  • 验证HDL实现直接针对Simulink中的算法万博1manbetx®或MATLAB®

  • 将Simulink或MATLAB中的数据和测试场景应用到FPGA上的H万博1manbetxDL设计中。

  • 在Simulink或MATLAB中集成现有HDL代码和正在开发的模型。万博1manbetx

在使用fila -in- loop (fpga -in- loop)模拟之前,必须下载对应单板的支持包。万博1manbetx看到下载FPGA板支持包万博1manbetx.或者,您可以手动创建自定义的板定义文件,以便与FIL模拟一起使用。看到FPGA单板定制

下载单板支持包后,选择仿真流程。万博1manbetx看到fpga在环仿真工作流程.要了解FIL模拟是如何工作的,请参见FPGA-in-the-Loop模拟

应用程序

FPGA-in-the-Loop向导 生成一个FIL (fpga -in- loop)块或系统对象从现有的HDL文件

对象

hdlverifier。FILSimulation 与FIL的模拟MATLAB

功能

filProgramFPGA 加载编程文件到FPGA
programFPGA 加载与关联的编程文件FILSimulation系统对象到FPGA上

费尔模拟 在FPGA硬件上模拟HDL代码万博1manbetx

主题

概述

  • fpga在环仿真工作流程
    在生成块或系统对象™之间进行选择,并决定是使用FIL向导还是HDL工作流顾问。
  • FPGA-in-the-Loop模拟
    fpga -in- loop (FIL)仿真提供了使用Simulink或MATLAB软件对任何现有HDL代码在真实硬件中测试设计的万博1manbetx能力。

FIL的要求和准备

从遗留代码生成FIL接口

从MATLAB代码生成FIL系统对象(要求高密度脂蛋白编码器许可)

从Simulink模型生成FIL块(需要万博1manbetx高密度脂蛋白编码器许可)

故障排除

故障排除费尔

修复了常见的错误消息和问题。