Vision HDL工具箱

为fpga和asic设计图像处理、视频和计算机视觉系统

Vision HDL Toolbox™提供像素流算法,用于fpga和asic上的视觉系统的设计和实现。它提供了一个设计框架,支持一组不同的接口类型、帧大小和帧速率。万博1manbetx工具箱中的图像处理、视频和计算机视觉算法使用适合于HDL实现的体系结构。

工具箱算法旨在用VHDL生成可读、可合成的代码®和Verilog®(高密度脂蛋白编码器™)。生成的HDL代码经fpga验证,适用于高达8k分辨率的帧大小和高帧率(HFR)视频。

工具箱功能可作为MATLAB®函数,系统对象™和Simulink万博1manbetx®块。

开始:

硬件子系统示例

从展示视觉处理算法硬件实现技术的示例子系统开始。所有示例都可以使用HDL Coder生成Verilog或VHDL代码。

自动驾驶

开始使用经过硬件验证的车道检测、坑洞检测和立体视差计算子系统构建您的自动驾驶系统。

特征检测

了解如何使用流式硬件实现特征检测技术,以开发监视、对象跟踪、工业检查和其他应用程序。

摄像机管道

使用噪声去除、伽马校正和直方图实现的例子,启动图像调理硬件的开发。

一种用于图像调理的FPGA边缘检测应用。

视觉处理IP块

Vision HDL工具箱中的知识产权(IP)模块为计算密集型流式算法提供了高效的硬件实现,这些算法通常在硬件中实现,使您能够加速图像和视频处理子系统的设计。

硬件加速的视觉处理

建模和模拟视觉处理算法的有效硬件实现,如转换、滤波、形态学和统计。然后使用HDL编码器生成可合成的VHDL或Verilog RTL。

准备好的hdl边缘检测器块和它的可配置参数。

处理每时钟多个像素

通过指定4或8像素的并行流,以FPGA时钟速率处理4k、8k或高帧率视频。底层硬件实现会自动更新,以支持具有指定并行度的模拟和代码生成。万博1manbetx

指定多达8个像素并行处理。

内置硬件数据管理

使用Vision HDL工具箱块自动管理流式输入数据,如控制信号、感兴趣区域(ROI)窗口和线路缓冲区。使用HDL编码器为建模和模拟的控制功能生成VHDL或Verilog RTL。

自动缓冲行创建一个ROI窗口边缘检测。

使用基于帧的算法进行验证

将基于框架的算法和测试平台连接到流硬件实现以进行有效的验证。

帧和像素之间的转换

将全帧视频转换为带有控制信号的象素流,以便在硬件中进行处理。然后将流硬件输出转换为针对黄金参考算法进行验证的帧。

帧到像素块,用于将图像帧转换为具有硬件处理控制信号的像素流。

MATLAB和Simu万博1manbetxlink验证示例和模板

学习如何使用你的图像处理工具箱商标计算机视觉工具箱商标验证硬件实现的算法和测试。

使用基于帧的算法验证流硬件实现。

HDL和FPGA的协同仿真

使用HDL验证器™通过RTL仿真或连接到MATLAB或Simulink测试环境的FPGA开发工具包来验证硬件子系统。万博1manbetx

HDL验证器支持使用Xil万博1manbetxinx、Intel和Microsemi FPGA板进行FPGA在环验证。

FPGA、ASIC和SoC部署

轻松地将您的视觉处理应用程序定位到FPGA硬件,以便使用实时视频输入进行测试,并将相同的模型重新用于生产部署。

具有实时视频输入的原型平台

通过下载Xilinx的计算机视觉工具箱支持包万博1manbetx®Zynq®-基于硬件使用HDL编码器和嵌入式编码器®从MATLAB或Simulink实现生成代码。万博1manbetx

在FPGA硬件上使用真实视频输入制作设计原型。

使用SoC互连接口生成代码。

FPGA的视觉处理

观看这个五部分的视频系列,介绍了关键概念和工作流的目标视觉应用到fpga的原型和生产。

最新功能

角点检测模块与系统对象

用快速算法检测特征

无填充的行缓冲区

指定不为使用行缓冲内存的块添加填充的选项

调整大小示例

按指定的因子缩小图像帧的大小

外部内存建模示例

学习如何在外部内存中建模需要帧缓冲的视觉算法(需要SoC块集)

基于Xilinx-Zynq硬件的计算机视觉

瞄准带有Avnet FMC-HDMI-CAM模块的Zynq UltraScale+ MPSoC

雾修正示例

增强模糊图像以提高清晰度

看见发布说明有关这些功能和相应功能的详细信息。

面板的导航

FPGA的视觉处理

观看这个五部分的视频系列,介绍了关键概念和工作流的目标视觉应用到fpga的原型和生产。