社区概况

照片

索菲亚


自2011年以来活跃

统计数据

  • 第一次审查
  • 感谢3级

视图徽章

内容提要

视图

问题


vc++代码功能
嗨。我有vc++代码。c . h .dsw等扩展。如何使用这些文件功能。

6年前| 1 | 0回答

1

回答

问题


PCI董事会通过功能的访问
我想访问PCI寄存器和内存PCI卡的使用功能。卡实际上生成一个频率对咬……

6年前| | 0 0的答案

0

答案

问题


理解pole-zero地图和波德图的关系
嗨,x和y轴pole-zero地图显示,我的意思是真正的轴和虚轴。如何阅读网格线0.1通过0.9……

7年前| 1 | 0回答

1

回答

问题


Ylabel字形大小设置为现有情节/次要情节或人物
你好,我使用保证金(sys)绘制一个图,自动情节两个子图的顶部和底部。现在我想increa……

7年前| 1 | 0回答

1

回答

问题


极零地图字体颜色、大小
你好,我想更改图使用pzmap函数获得的字体和颜色等。我怎么能这样做。如改变t…

7年前| 1 | 0回答

1

回答

问题


如何改变仿真软件模型线宽度万博1manbetx
你好,我想换线的线宽的仿真软件环境。万博1manbetx我的意思是,如果我想让线……

7年前| 2 | 4的答案

4

答案

问题


2013年32位matlab负荷非常大的数据文件和32位windows 7
嗨,我有一个非常大的数据文件(. txt), 15 coloumns和几行。(600 mb)。数据绘制成功,但……

7年前| 1 | 0回答

1

回答

问题


多个情节在一个图左rigt轴和不同单位
你好,我有5个数据集。我想密谋data1 data3(电压V)左y轴和data4 5马(当前)有…

7年前| 1 | 0回答

1

回答

回答
我的系统是稳定的
谢谢你的回复。我用阶跃函数步骤(Hs),海关是我的特遣部队。和极点和零点的价值是:e p = 1.0……

7年前| 0

问题


我的系统是稳定的
嗨,我的波德和阶跃响应就像在附件的图。波兰人在左边,但阶跃响应increas……

7年前| | 0 2的答案

2

答案

问题


图边界当粘贴微软字处理软件
你好,当我复制一个matlab图粘贴到微软字处理软件中,总有不必要的透明边界在微软字处理软件,阻止……

7年前| | 0 0的答案

0

答案

问题


HDL代码生成简单的特遣部队
嗨,我想设置不同的单词长度做HDL代码生成时,我可以设置一个离散TF块diff……

8年前| 1 | 0回答

1

回答

问题


离散传递函数不同的“固定词长度而HDL代码生成
嗨,我想设置不同的单词长度做HDL代码生成时,我可以设置一个离散TF块与众不同……

8年前| | 0 0的答案

0

答案

问题


离散传递函数的分子/ denum值从工作区和HDL代码生成
你好,我想问如果离散传递函数的分子/ denum分配变量名,而不是常量值在…

8年前| 1 | 0回答

1

回答

问题


HDL代码geneartaion DPWM(数字脉宽调制)
你好,每个人。我需要生成任何传统的DPWM(10位或其他任何大小)针对通用HDL代码块或ASIC,年代……

8年前| 1 | 0回答

1

回答

问题


HDL代码生成简单的离散传递函数块
你好,当我使用TF块和双输入数据类型生成HDL代码并使所有参数的TF违约/我…

8年前| | 0 2的答案

2

答案

问题


“验证硬件选择”定点顾问
你好,,在定点顾问,如果我们不选择硬件(验证硬件选择)它不继续。如果我不喜欢…

8年前| 1 | 0回答

1

回答

问题


总和的双重fixpoint转换和离散传递函数块
你好,这个模型与数据类型显示没问题夏天(input1: ufix6_En7 input2: ufixe6_En6,输出:双)和…

8年前| 1 | 0回答

1

回答

问题


“助教”不是R2011版本可用
你好,我想用TF得到数字特遣部队从我开环块2型控制器。任何会感激,想买……

8年前| 1 | 0回答

1

回答

问题


使用VMIC vmipci acutronic 2轴表- 5565
我们想与acutrol使用VMIC卡5565 3000表,所以有任何特定命令参考每个instr……

8年前| 0 | 3的答案

3

答案

问题


输出数据类型的一个简单的离散TF及其硬件描述语言(VHDL)代码生成
嗨,我想设计简单过滤器使用离散TF块TF = (1 / (1 + 0.1 z ^ 1 + 0.1 z ^ 2))和写作的VHDL代码辛迪思……

8年前| 1 | 0回答

1

回答

问题


乘数使用HDL库
你好,我想做一个乘数的4位和生成vhdl代码在仿真软件中使用“HDL代码生成”。万博1manbetx例如=…

8年前| 1 | 0回答

1

回答

问题


简单的IIR滤波器设计
你好,我使用这个简单的传递函数H (z) = 1 / (z z ^ 2 + 0.1 + 0.01),双线性变换。现在我想生成V……

8年前| 1 | 0回答

1

回答

问题


如何执行仿真软件模型在序列?万博1manbetx
嗨,我是使用简单的阅读写仪器通信协议。我需要读中断等然后写。所以如何或…

10年前| | 0 0的答案

0

答案

问题


两步功能在不同的时期,或重置一个子系统
我有一个简单的模型与两步功能,启用一个子系统。一步之外子系统的值为1,和anot……

11年前| | 0 2的答案

2

答案

问题


仿真时间重置为零后某些数字输入xpc变得如此
我有一个简单的模型,计算非常基本的东西。在并行监控数字输入端口的ni - 6025卡。它……

11年前| 1 | 0回答

1

回答

回答
使用VMIC vmipci acutronic 2轴表- 5565
亲爱的杰米,非常感谢指导我在一个精确的方式。这是我在寻找什么。所以我现在很清楚,年代……

12年前| 0