我怎么能把MATLAB代码以硬件描述语言(VHDL)代码?

74(30天)
我有一个MATLAB代码。有可能转换成硬件描述语言(VHDL)代码?

接受的答案

选项卡
选项卡 2013年3月8日
是的,使用 高密度脂蛋白编码器 工具箱。
6个评论
选项卡
选项卡 2013年3月8日
编辑:选项卡 2013年3月8日
这个讨论 :
万博1manbetx2.1仿真软件HDL编码不是集成到MATLAB编码器。该工具的命令行版本 makehdl
请搜索 makehdl 在matlab文档。

登录置评。

更多的答案(2)

蒂姆McBrayer
蒂姆McBrayer 2013年3月11日
本地人,在释放R2012a介绍了MATLAB代码生成与高密度脂蛋白编码器3.0。
万博1manbetx仿真软件HDL编码器R2011a仅可在释放。R2011a,你需要把你的MATLAB代码,手动转换为合适的定点表示法,MATLAB仿真软件的功能块。万博1manbetx

Kiran Kintali
Kiran Kintali 2020年4月19日
高密度脂蛋白编码器 TM 生成便携,synthesizable Verilog ® 和硬件描述语言(VHDL) ® 从MATLAB代码 ® 函数,仿真软件万博1manbetx ® 模型和Stateflow ® 图表。可用于生成的HDL代码 FPGA编程 或ASIC原型和设计。
高密度脂蛋白编码器提供了一个自动化的工作流顾问Xilinx的编程 ® ,Microsemi ® ,英特尔 ® fpga。你可以 高密度脂蛋白控制架构 (49:42) 和实施,突出关键路径,并生成硬件资源利用率的估计。高密度脂蛋白编码器提供了 可追溯性 仿真软件模型和生成的Ver万博1manbetxilog之间和VHDL代码,使代码验证高度集成应用程序的坚持做- 254和其他标准。
发布时间:
万博1manbetx仿真软件对高密度脂蛋白(R2006b)(还包括支持State万博1manbetxflow和MATLAB函数块)
MATLAB HDL (R2012a)(还包括自动定点转换之前的MATLAB HDL代码生成)
Simscape HDL (R2018b)(线性和切换线性模型)
1评论
莎米拉sorayaasa
莎米拉sorayaasa 2021年8月4日
moselsim和xillinx版本怎么样?这个自由modelsim之一是否工作?xilinx 13.4怎么样?
哪一个是必要的吗?还是两个?

登录置评。

社区寻宝

找到宝藏在MATLAB中央,发现社区如何帮助你!

开始狩猎!