高密度脂蛋白解析器错误:不支持的数据类型万博1manbetxsfixed在“Fpga-in-the-loop”

1视图(30天)
我有一些.vhd文件没有使用HDL编码器生成但已经成功地使用modelsim co-simulated。然而当我试着模拟使用FPGA-in-the-loop向导,它似乎有问题在使用sfixed或ufixed类型的变量,使用ieee fixed_pkg库。有解决方案了吗?有人管理运行.vhd文件sfixed费尔模拟数据类型使用这个库? ? ?

答案(2)

蒂姆McBrayer
蒂姆McBrayer 2013年3月19日
你硬件描述语言(vhdl) - 2008代码仿真软件在费尔模式?万博1manbetx高密度脂蛋白编码器不生成任何2008 -特定的构造。如果你把它和一个黑色的盒子,你需要调整你的硬件描述语言(VHDL)调用编译器和仿真器,以确保它们调用的方式,使硬件描述语言(VHDL) - 2008功能。
1评论
拉斐尔
拉斐尔 2013年3月22日
我不使用HDL编码器生成代码,我自己写的。谢谢你的回答,我能解决我的问题。

登录置评。


道贾
道贾 2013年3月20日
使用FPGA-in-the-Loop,顶级实体上的I / O端口必须std_logic_vector或std_logic类型。ufixed和sfixed数据类型不支持你。万博1manbetx
解决这个问题,你可以使用To_slv std_logic_vector函数来转换这些数据类型。
1评论
拉斐尔
拉斐尔 2013年3月22日
谢谢贾道的一个问题,另一件事是我需要添加自由文件连同VHD文件。我仍然试图理解主时钟,可以配置它的频率?什么是默认钟当我使用费尔向导吗?

登录置评。