主要内容

使用UVM和SystemVerilog组件进行验证

生成UVM或SystemVerilog DPI组件

完成Simulink后万博1manbetx®或MATLAB®模型,通过集成HDL Verifier™,将测试组件导出到通用验证方法(UVM)或SystemVerilog环境中万博1manbetx仿真软件编码器™MATLAB编码器

从函数或模型生成SystemVerilog直接编程接口(DPI)组件。然后,您可以在您的HDL模拟环境中使用该组件作为行为模型。有关更多信息,请参见SystemVerilog DPI组件生成

HDL验证器利用DPI生成技术来创建UVM测试环境。该环境包括一个UVM顶模块、一个待测行为设计(DUT)和一个UVM测试台。您可以用自己的HDL DUT替换DUT,或者占用部分测试台并在UVM测试环境中使用它们。有关更多信息,请参见UVM组件生成概述